Logical Effort: Designing Fast CMOS Circuits / Edition 1

Logical Effort: Designing Fast CMOS Circuits / Edition 1

ISBN-10:
1558605576
ISBN-13:
9781558605572
Pub. Date:
02/02/1999
Publisher:
Elsevier Science
ISBN-10:
1558605576
ISBN-13:
9781558605572
Pub. Date:
02/02/1999
Publisher:
Elsevier Science
Logical Effort: Designing Fast CMOS Circuits / Edition 1

Logical Effort: Designing Fast CMOS Circuits / Edition 1

Paperback

$81.95
Current price is , Original price is $81.95. You
$81.95 
  • SHIP THIS ITEM
    Qualifies for Free Shipping
  • PICK UP IN STORE

    Your local store may have stock of this item.

  • SHIP THIS ITEM

    Temporarily Out of Stock Online

    Please check back later for updated availability.


Overview

Designers of high-speed integrated circuits face a bewildering array of choices and too often spend frustrating days tweaking gates to meet speed targets. Logical Effort: Designing Fast CMOS Circuits makes high speed design easier and more methodical, providing a simple and broadly applicable method for estimating the delay resulting from factors such as topology, capacitance, and gate sizes.

The brainchild of circuit and computer graphics pioneers Ivan Sutherland and Bob Sproull, "logical effort" will change the way you approach design challenges. This book begins by equipping you with a sound understanding of the method's essential procedures and concepts-so you can start using it immediately. Later chapters explore the theory and finer points of the method and detail its specialized applications.


Product Details

ISBN-13: 9781558605572
Publisher: Elsevier Science
Publication date: 02/02/1999
Series: The Morgan Kaufmann Series in Computer Architecture and Design
Edition description: New Edition
Pages: 256
Product dimensions: 7.50(w) x 9.25(h) x (d)

About the Author

Ivan E. Sutherland, a vice president and fellow at Sun Microsystems, received the Turing Award and the Von Neumann Medal for his pioneering contributions in the fields of computer graphics and microelectronic design.

Robert F. Sproull is an internationally noted expert on the design of graphics hardware and software. He too is a vice president and fellow at Sun.

David Harris is the Harvey S. Mudd Professor of Engineering Design at Harvey Mudd College. He received his Ph.D. in electrical engineering from Stanford University and his M.Eng. in electrical engineering and computer science from MIT. Before attending Stanford, he worked at Intel as a logic and circuit designer on the Itanium and Pentium II processors. Since then, he has consulted at Sun Microsystems, Hewlett-Packard, Broadcom, and other design companies. David holds more than a dozen patents and is the author of three other textbooks on chip design, as well as many Southern California hiking guidebooks. When he is not working, he enjoys hiking, flying, and making things with his three sons.

Read an Excerpt

1: The Method of Logical Effort

Designing a circuit to achieve the greatest speed or to meet a delay constraint presents a bewildering array of choices. Which of several circuits that produce the same logic function will be fastest? How large should a logic gate's transistors be to achieve least delay? And how many stages of logic should be used to obtain least delay? Sometimes, adding stages to a path reduces its delay!

The method of logical effort is an easy way to estimate delay in a cmos circuit. We can select the fastest candidate by comparing delay estimates of different logic structures. The method also specifies the proper number of logic stages on a path and the best transistor sizes for the logic gates. Because the method is easy to use, it is ideal for evaluating alternatives in the early stages of a design and provides a good starting point for more intricate optimizations.

This chapter describes the method of logical effort and applies it to simple examples. Chapter 2 explores more complex examples. These two chapters together provide all you need to know to apply the method of logical effort to a wide class of circuits. We devote the remainder of this book to derivations that show why the method of logical effort works, to some detailed optimization techniques, and to the analysis of special circuits such as domino logic and multiplexers.

1.1 Introduction

To set the context of the problems addressed by logical effort, we begin by reviewing a simple integrated circuit design flow. We will see that topology selection and gate sizing are key steps of the flow. Without a systematic approach, these steps are extremely tedious and time-consuming. Logical effort offers such an approach to these problems.

Figure 1.1 shows a simplified chip design flow illustrating the logic, circuit, and physical design stages. The design starts with a specification, typically in textual form, defining the functionality and performance targets of the chip. Most chips are partitioned into more manageable blocks so that they may be divided among multiple designers and analyzed in pieces by CAD tools. Logic designers write register transfer level (RTL) descriptions of each block in a language like Verilog or VHDL and simulate these models until they are convinced the specification is correct. Based on the complexity of the RTL descriptions, the designers estimate the size of each block and create a floorplan showing relative placement of the blocks. The floorplan allows wire-length estimates and provides goals for the physical design.

Given the RTL and floorplan, circuit design may begin. There are two general styles of circuit design: custom and automatic. Custom design trades additional human labor for better performance. In a custom methodology, the circuit designer has flexibility to create cells at a transistor level or choose from a library of predefined cells. The designer must make many decisions: Should I use static cmos, transmission gate logic, domino circuits, or other circuit families? What circuit topology best implements the functions specified in the RTL? Should I use NAND, NOR, or complex gates? After selecting a topology and drawing the schematics, the designer must choose the size of transistors in each logic gate. A larger gate drives its load more quickly, but presents greater input capacitance to the previous stage and consumes more area and power. When the schematics are complete, functional verification checks that the schematics correctly implement the RTL specification. Finally, timing verification checks that the circuits meet the performance targets. If performance is inadequate, the circuit designer may try to resize gates for improved speed, or may have to change the topology entirely, exploiting parallelism to build faster structures at the expense of more area or switching from static cmos to faster domino gates.

Automatic circuit design uses synthesis tools to choose circuit topologies and gate sizes. Synthesis takes much less time than manually optimizing paths and drawing schematics, but is generally restricted to a fixed library of static cmos cells and produces slower circuits than those designed by a skilled engineer. Advances in synthesis and manufacturing technology continue to expand the set of problems that synthesis can acceptably solve, but for the foreseeable future, high-end designs will require at least some custom circuits. Synthesized circuits are normally logically correct by construction, but timing verification is still necessary. If performance is inadequate, the circuit designer may set directives for the synthesis tool to improve critical paths.

When circuit design is complete, layout may begin. Layout may also be custom or may use automatic place and route tools. Design rule checkers (DRC) and layout versus schematic (LUS) checks are used to verify the layout. Postlayout timing verification ensures the design still meets timing goals after including more accurate capacitance and resistance data extracted from the layout; if the estimates used in circuit design were inaccurate, the circuits may have to be modified again. Finally, the chip is "taped out" and sent for manufacturing.

One of the greatest challenges in this design flow is meeting the timing specifications, a problem known as timing convergence. If speed were not a concern, circuit design would be much easier, but if speed were not a concern, the problem could be solved more cost-effectively in software.

Even experienced custom circuit designers often expend a tremendous amount of frustrating effort to meet timing specifications. Without a systematic approach, most of us fall into the "simulate and tweak" trap of making changes in a circuit, throwing it into the simulator, looking at the result, making more changes, and repeating. Because circuit blocks often take half an hour or more in simulation, this process is very time-consuming. Moreover, the designer often tries to speed up a slow gate by increasing its size. This can be counterproductive if the larger gate now imposes greater load on the previous stage, slowing the previous stage more than improving its own delay! Another problem is that without an easy way of estimating delays, the designer who wishes to compare two topologies must draw, size, and simulate a schematic of each. This process takes a great deal of time and discourages such comparisons. The designer soon realizes that a more efficient and systematic approach is needed and over the years develops a personal set of heuristics and mental models to assist with topology selection and sizing.

Users of synthesis tools experience similar frustrations with timing convergence, especially when the specification is near the upper limit of the tool's capability. The synthesis equivalent of "simulate and tweak" is "add constraints and resynthesize"; as constraints fix one timing violation, they often introduce a new violation on another path. Unless the designer looks closely at the output of the synthesis and understands the root cause of the slow paths, adding constraints and resynthesizing may never converge on an acceptable result...

Table of Contents

1 The Method of Logical Effort2 Design Examples3 Deriving the Method of Logical Effort4 Calculating the Logical Effort of Gates5 Calibrating the Model6 Asymmetric Logic Gates7 Unequal Rising and Falling Delays8 Circuit Families9 Forks of Amplifiers10 Branches and Interconnect11 Wide Structures12 ConclusionsA Cast of CharactersB Reference process parametersC Logical Effort ToolsD Solutions
From the B&N Reads Blog

Customer Reviews