VHDL-2008: Just the New Stuff

VHDL-2008: Just the New Stuff

by Peter J. Ashenden, Jim Lewis
ISBN-10:
0123742498
ISBN-13:
9780123742490
Pub. Date:
11/26/2007
Publisher:
Elsevier Science
ISBN-10:
0123742498
ISBN-13:
9780123742490
Pub. Date:
11/26/2007
Publisher:
Elsevier Science
VHDL-2008: Just the New Stuff

VHDL-2008: Just the New Stuff

by Peter J. Ashenden, Jim Lewis

Paperback

$65.95 Current price is , Original price is $65.95. You
$65.95 
  • SHIP THIS ITEM
    Qualifies for Free Shipping
  • PICK UP IN STORE
    Check Availability at Nearby Stores

Overview

VHDL-2008: Just the New Stuff, as its title says, introduces the new features added to the latest revision of the IEEE standard for the VHDL hardware description language. Written by the Chair and Technical Editor of the IEEE working group, the book is an authoritative guide to how the new features work and how to use them to improve design productivity. It will be invaluable for early adopters of the new language version, for tool implementers, and for those just curious about where VHDL is headed.

Product Details

ISBN-13: 9780123742490
Publisher: Elsevier Science
Publication date: 11/26/2007
Series: Systems on Silicon
Edition description: 2008 ed.
Pages: 256
Product dimensions: 7.50(w) x 9.25(h) x (d)

About the Author

Peter J. Ashenden received his B.Sc.(Hons) and Ph.D. from the University of Adelaide, Australia. He was previously a senior lecturer in computer science and is now a Visiting Research Fellow at the University of Adelaide. His research interests are computer organization and electronic design automation. Dr. Ashenden is also an independent consultant specializing in electronic design automation (EDA). He is actively involved in IEEE working groups developing VHDL standards, is the author of The Designer's Guide to VHDL and The Student's Guide to VHDL and co-editor of the Morgan Kaufmann series, Systems on Silicon. He is a senior member of the IEEE and a member of the ACM.

Table of Contents

Major New Features; Type System Changes; New and Changed Operations; New and Changed Statements; Modeling Enhancements; Standard Packages; Improved I/O; Minor Semantic Changes; Lexical and Syntax Changes; What's Next.

What People are Saying About This

From the Publisher

The FIRST source for definitive information about the new features of VHDL 2008!

From the B&N Reads Blog

Customer Reviews