SystemVerilog for Design Second Edition: A Guide to Using SystemVerilog for Hardware Design and Modeling / Edition 2

SystemVerilog for Design Second Edition: A Guide to Using SystemVerilog for Hardware Design and Modeling / Edition 2

ISBN-10:
0387333991
ISBN-13:
9780387333991
Pub. Date:
07/20/2006
Publisher:
Springer US
ISBN-10:
0387333991
ISBN-13:
9780387333991
Pub. Date:
07/20/2006
Publisher:
Springer US
SystemVerilog for Design Second Edition: A Guide to Using SystemVerilog for Hardware Design and Modeling / Edition 2

SystemVerilog for Design Second Edition: A Guide to Using SystemVerilog for Hardware Design and Modeling / Edition 2

$249.99
Current price is , Original price is $249.99. You
$249.99 
  • SHIP THIS ITEM
    Qualifies for Free Shipping
  • PICK UP IN STORE
    Check Availability at Nearby Stores
  • SHIP THIS ITEM

    Temporarily Out of Stock Online

    Please check back later for updated availability.


Overview

SystemVerilog is a rich set of extensions to the IEEE 1364-2001 Verilog Hardware Description Language (Verilog HDL). These extensions address two major aspects of HDL-based design. First, modeling very large designs with concise, accurate, and intuitive code. Second, writing high-level test programs to efficiently and effectively verify these large designs.

The first edition of this book addressed the first aspect of the SystemVerilog extensions to Verilog. Important modeling features were presented, such as two-state data types, enumerated types, user-degined types, structures, unions, and interfaces. Emphasis was placed on the proper usage of these enhancements for simulation and synthesis.

SystemVerilog for Design, Second Edition has been extensively revised on a chapter by chapter basis to include the many text and example updates needed to reflect changes that were made between the first edition of this book was written and the finalization of the new standard. It is important that the book reflect these syntax and semantic changes to the SystemVerilog language.

In addition, the second edition features a new chapter that explanis the SystemVerilog "packages", a new appendix that summarizes the synthesis guidelines presented throughout the book, and all of the code examples have been updated to the final syntax and rerun using the latest version of the Synopsys, Mentor, and Cadance tools.


Product Details

ISBN-13: 9780387333991
Publisher: Springer US
Publication date: 07/20/2006
Edition description: Second Edition 2006
Pages: 418
Product dimensions: 6.14(w) x 9.25(h) x 0.04(d)

Table of Contents

to SystemVerilog.- SystemVerilog Declaration Spaces.- SystemVerilog Literal Values and Built-in Data Types.- SystemVerilog User-Defined and Enumerated Types.- SystemVerilog Arrays, Structures and Unions.- SystemVerilog Procedural Blocks, Tasks and Functions.- SystemVerilog Procedural Statements.- Modeling Finite State Machines with SystemVerilog.- SystemVerilog Design Hierarchy.- SystemVerilog Interfaces.- A Complete Design Modeled with SystemVerilog.- Behavioral and Transaction Level Modeling.
From the B&N Reads Blog

Customer Reviews